好文档 - 专业文书写作范文服务资料分享网站

eda课程设计数字频率计的设计[1]

天下 分享 时间: 加入收藏 我要投稿 点赞

end case;

end process; --对应数码管的输出

process(comclk,datain) begin

case comclk is

when \ when \ when \ when \ when others =>NULL; end case; end process; end art;

6、七段数码管的译码器 library IEEE;

use IEEE.std_logic_1164.all; entity ymq is port(

d_in: in std_logic_vector(3 downto 0);

--数码管控制器输入四位信号

d_out: out std_logic_vector(7 downto 0)); --输出8位信号end ymq; --第8位d_out[7]为逗号 architecture art of ymq is begin

process(d_in) begin

case d_in is --第8位为1高电平逗号不显示 when \ when \ when \ when \ when \ when \ when \ when \ when \

- 10 -

when \ when others =>NULL; end case; end process; end art;

- 11 -

eda课程设计数字频率计的设计[1]

endcase;endprocess;--对应数码管的输出process(comclk,datain)begincasecomclkiswhen\when\when\when\whenoth
推荐度:
点击下载文档文档为doc格式
8hkhx4tnxl1h1yk7prnd
领取福利

微信扫码领取福利

微信扫码分享