好文档 - 专业文书写作范文服务资料分享网站

多功能信号发生器的设计讲解

天下 分享 时间: 加入收藏 我要投稿 点赞

目 录

0引言 ................................................. 2 1设计意义 ............................................. 3 2设计说明 ............................................. 4

2.1设计任务 ................................................. 4 2.1.1设计要求 .............................................. 4 2.1.2 设计目的: ............................................ 4

3设计过程 ............................................. 5

3.1系统顶层框图 .............................................. 5 3.1.1信号发生器结构图 ...................................... 5 3.1.2信号发生器的内部构成 .................................. 5 3.1.3系统流程图 ............................................ 6 3.2设计步骤 ................................................. 6 3.3系统设计 ................................................. 7

4代码及仿真结果 ....................................... 8

4.1各个模块的实现 ............................................ 8 4.2顶层模块 ................................................ 16

5小结及体会 ...........................................19 6参考文献 .............................................20

0 引言

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。它能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。

本设计采用FPGA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、方波、三角波、锯齿波等波形。

2

1 设计意义

本次课设要求设计一个函数信号发生器。它能产生四种波形:正弦波、方波、三角波、锯齿波。同时能在不同的频率下显示。这次设计主要是练习了分频电路的设计,ROM的设计,计数器的设计、选择电路的设计和数码显示的设计。

加强了对when语句,if语句等语句的理解。拓展了对VHDL语言的应用。平时练习与考试都是设计一个简单的电路,本次课设综合了好几个电路的设计。同时我也增强了对分模块设计电路的应用。对我以后的电路设计生涯都是有所帮助的!

函数信号发生器是应用了VHDL语言,通过数模转换来显示波形,实现了数模转换的应用。 在工作中,我们常常会用到信号发生器,它是使用频度很高的电子仪器。信号发生器是指产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。它能够产生多种波形,如三角波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。 本设计采用EDA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、方波、三角波、锯齿波等波形。

3

2 设计说明

2.1设计任务

2.1.1设计要求:

基于《VHDL语言》,通过给定的仪器(EDA6000试验箱)设计一个多功能信号发生器, (1)能产生周期性正弦波、方波、三角波、锯齿波以及用户自己编辑的特定波形; (2)输出信号的频率范围为100Hz~200KHz,且输出频率可以调节; (3)具有显示输出波形、频率的功能。 2.1.2 设计目的:

1) 掌握使用EDA工具设计信号发生器系统的设计思路和设计方法,体会使用EDA综合过程中电路设计方法和设计思路的不同,理解层次化设计理念。

2) 熟悉在Quartus II环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。

3) 通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计。

4

3 设计过程

3.1系统顶层框图

3.1.1信号发生器结构图

由于FPGA/CPLD只能直接输出数字信号,而多功能信号发生器输出的各种波形均为模拟信号,因此设计信号发生器时,需将FPGA/CPLD输出的信号通过D/A转换电路将数字信号转换成模拟信号。多功能信号发生器可由信号产生电路,波形选择电路和D/A转换电路构成,如下图所示:

时钟信号 信号产生电路 波形选择电路 D/A转换 选择信号 波形输出

3.1.2信号发生器的内部构成 时钟 数控分频 器 预置分 频数 (0-25 复位信号 波形选择关

三角波波形数据 8位数据 数据选择器 正弦波波形数据 方波波形数据 fout 任意波形数据 5

多功能信号发生器的设计讲解

目录0引言.................................................21设计意义.............................................32设计说明.............................................42.1设计任务......
推荐度:
点击下载文档文档为doc格式
87i832ipsu6j6mw9sjhs44p5c1cp9m00dts
领取福利

微信扫码领取福利

微信扫码分享