好文档 - 专业文书写作范文服务资料分享网站

数字电路综合设计实验报告文档 

天下 分享 时间: 加入收藏 我要投稿 点赞

数字电路综合设计

architecture counter of counter is signal s1:std_logic_vector(2 downto 0); begin

process(clk) is begin

if(clk='1' and clk'event)then

s1<=s1+'1';

end if; end process; outp<=s1; end counter;

仿真:

将counter.vhd设为顶层文件,编译,新建一个波形文件,将端口导入,选择“功能仿真”、新建网表,为输入指定值,仿真后波形图如下:

分析:

有仿真结果可以看出,对应于输入信号的每一个周期,输出都会改变,而且这种改变是有规律的,从000到111,符合了设计的要求,

1

living standards, poor farmers in less than complete elimination of 4600 Yuan, 8949, 19008. %ule\llion yuan, similar counties line the city's first complete the 42 km of sewage pipe network construction, completion rate ranked first in the city, won the provincial rural sewage treatment work better; key pollution sources such as electroplating, papermaking, chemical industry management through verification and acceptance of the municipal government, the County removed Black and odorous River, River waste, beginning of river ecological restoration effects. \ee modified a split\spects: County total demolition illegal area up 2.759 million square meters, completed City issued annual task of 153%, split against area ranking city second; completed \ modified\on square meters, completed City issued annual task of 403%, ranking city third, which village in the a target completed total city ranking first; CountyGovernment was named city level \e modified a split\d. These achievements are hard won and should be appreciated. However, we are fully aware, the new period, and work in the countryside and the environment facing higher standards and requirements, provincial party Committee offered to high level build a comprehensive well-off society goal requires \buildings, into a well-off\pal party Committee also proposes to build into the well-off benchmark goals of the city. Compared with the superior claim, \h Gate of XX beautiful\Nong\nvironment there are a number of weaknesses and gaps, highlighted in the following five aspects: first, agriculture remained \d in the quality and low; short, value added of the industry chain is low; 123 fusion yield low employee age, low quality. \er, specialized cooperatives throughout the County there were3,396, but on the scale of too little. \d in production, management, services, decentralized, centralized, standardized, brand, information and organizational level is not high. Second, the countryside is still in \se. \nitation situation has not changed. \llage in rural housing construction planning, construction of disorder, no drawings, no construction, no vetting \nomenon, heap lying there. \d\ak rural base, village governance is poor. Thirdly, farmers continued to be \d%ural labor force accounted for 70% over the age of 50. \the reform measures ground resistance, such as the transactions of property rights, land replacement; public service supply gap, rural education, health care, pensions and other services can not meet the demand still difficult for poverty alleviation, with emphasis on poverty alleviation and 191. \sants, peasants. Four water effects still lacks 完全可以应用到系统设计之中。

5.3.3 译码器

代码:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity decoder is

port(inp:in std_logic_vector(2 downto 0); end;

architecture decoder of decoder is begin

process(inp) is begin case inp is

when \ when \ when \ when \

outp:out std_logic_vector(7 downto 0));

compelling.\h the \ork very hard, but the flood of scientific enough, before the treatment and water quality improvement after the treatment effect is not obvious, county-wide focus on river still largely inferior five water, swimming the River could not be found. Five is \split\ies ahead. Notice of stock there, new construction did not receive timely treatment every year (such as ancestral hall as unauthorised repeated), contrary to the created work is very different from the provincial and municipal standards. Meanwhile, when implementation is not in place, generally only focus on illegal construction demolished, failing that split that is clear, combined demolition, insufficient attention to reconstruction of old residential areas, urban villages, shanty towns and promote poor. o solve these problems, we must enhance the sense of responsibility and urgency, insist on problem-oriented, to take strong measures, efforts to make up the %ure\Board, construction of comprehensive safeguards, \h Gate of XX beautiful\ng. II, and precision Shi policy, and manpower playing good \e agricultural\protracted \cultural\do economic social development the work of based, county levels the sector to according to \d agricultural, and and manpower rural, and development farmers\s, insisted agricultural rural based status not shake, insisted huinong kulak policy not weakened, insisted reform innovation test not stop, efforts created new era \cultural\ork new situation. (A) to make agriculture more. To establish the concept of agriculture around the people demand, and comprehensively promote the supply side of agriculture structural reform, strive to enhance the quality and efficiency of agriculture and competitiveness. Focus is on doing the four articles. One is the steady increase of grain article. Always tighten the string for food security, \n hand, to hold land in the adjustment of agricultural planting structure in the \erating the construction of grain ribbons, improving agricultural infrastructure, enhance food production capacity, improve the quality of agricultural products, effectively \of grain\ntain %ue.\cond, industry convergence article. Enhance the level of concentration, intensification of agriculture, creating production, processing, distribution, sales and service in one of the agricultural industrial chain, to break down the value chain, improve added value. Pushing forward \cultural\ove agricultural production, management, and service level, innovating the mode of e-commerce marketing of agricultural products, solve the difficult problem of sales of agricultural products. Agriculture and tourism, education, culture, health, pension and other deep integration, develop leisure, travel 数字电路综合设计

when \ when \ when \ when \end case; end process; end decoder;

5.4 主程序设计及仿真波形及分析

将前面的VHDL代码生成器件,依照数据处理顺序组装成为如下的电路图:

从左到右依次为晶振信号,分频器,计数器,译码器,LED灯输出。

对总电路图编译后仿真如下图:

1

living standards, poor farmers in less than complete elimination of 4600 Yuan, 8949, 19008. %ule\llion yuan, similar counties line the city's first complete the 42 km of sewage pipe network construction, completion rate ranked first in the city, won the provincial rural sewage treatment work better; key pollution sources such as electroplating, papermaking, chemical industry management through verification and acceptance of the municipal government, the County removed Black and odorous River, River waste, beginning of river ecological restoration effects. \ee modified a split\spects: County total demolition illegal area up 2.759 million square meters, completed City issued annual task of 153%, split against area ranking city second; completed \ modified\on square meters, completed City issued annual task of 403%, ranking city third, which village in the a target completed total city ranking first; CountyGovernment was named city level \e modified a split\d. These achievements are hard won and should be appreciated. However, we are fully aware, the new period, and work in the countryside and the environment facing higher standards and requirements, provincial party Committee offered to high level build a comprehensive well-off society goal requires \buildings, into a well-off\pal party Committee also proposes to build into the well-off benchmark goals of the city. Compared with the superior claim, \h Gate of XX beautiful\Nong\nvironment there are a number of weaknesses and gaps, highlighted in the following five aspects: first, agriculture remained \d in the quality and low; short, value added of the industry chain is low; 123 fusion yield low employee age, low quality. \er, specialized cooperatives throughout the County there were3,396, but on the scale of too little. \d in production, management, services, decentralized, centralized, standardized, brand, information and organizational level is not high. Second, the countryside is still in \se. \nitation situation has not changed. \llage in rural housing construction planning, construction of disorder, no drawings, no construction, no vetting \nomenon, heap lying there. \d\ak rural base, village governance is poor. Thirdly, farmers continued to be \d%ural labor force accounted for 70% over the age of 50. \the reform measures ground resistance, such as the transactions of property rights, land replacement; public service supply gap, rural education, health care, pensions and other services can not meet the demand still difficult for poverty alleviation, with emphasis on poverty alleviation and 191. \sants, peasants. Four water effects still lacks

可看出系统总体符合要求,8个LED灯依次亮灭。

六. 调试及相关说明

将输入信号输出信号与引脚绑定,下载到开发板观看效果。

类型 输入 输出 引脚名 clk Out[7] Out[6] Out[5] Out[4] Out[3] Out[2] Out[1] Out[0] 绑定引脚编号 12 86 87 88 89 90 91 92 95 绑定后如图: compelling.\h the \ork very hard, but the flood of scientific enough, before the treatment and water quality improvement after the treatment effect is not obvious, county-wide focus on river still largely inferior five water, swimming the River could not be found. Five is \split\ies ahead. Notice of stock there, new construction did not receive timely treatment every year (such as ancestral hall as unauthorised repeated), contrary to the created work is very different from the provincial and municipal standards. Meanwhile, when implementation is not in place, generally only focus on illegal construction demolished, failing that split that is clear, combined demolition, insufficient attention to reconstruction of old residential areas, urban villages, shanty towns and promote poor. o solve these problems, we must enhance the sense of responsibility and urgency, insist on problem-oriented, to take strong measures, efforts to make up the %ure\Board, construction of comprehensive safeguards, \h Gate of XX beautiful\ng. II, and precision Shi policy, and manpower playing good \e agricultural\protracted \cultural\do economic social development the work of based, county levels the sector to according to \d agricultural, and and manpower rural, and development farmers\s, insisted agricultural rural based status not shake, insisted huinong kulak policy not weakened, insisted reform innovation test not stop, efforts created new era \cultural\ork new situation. (A) to make agriculture more. To establish the concept of agriculture around the people demand, and comprehensively promote the supply side of agriculture structural reform, strive to enhance the quality and efficiency of agriculture and competitiveness. Focus is on doing the four articles. One is the steady increase of grain article. Always tighten the string for food security, \n hand, to hold land in the adjustment of agricultural planting structure in the \erating the construction of grain ribbons, improving agricultural infrastructure, enhance food production capacity, improve the quality of agricultural products, effectively \of grain\ntain %ue.\cond, industry convergence article. Enhance the level of concentration, intensification of agriculture, creating production, processing, distribution, sales and service in one of the agricultural industrial chain, to break down the value chain, improve added value. Pushing forward \cultural\ove agricultural production, management, and service level, innovating the mode of e-commerce marketing of agricultural products, solve the difficult problem of sales of agricultural products. Agriculture and tourism, education, culture, health, pension and other deep integration, develop leisure, travel 数字电路综合设计

绑定后再次编译Design.bdf文件,效果如图:

七. 结束语

在此次课程设计过程中,学会了QuartusII软件的使用,在QuartusII中创建项目、新建文件、编译文件、对设计进行仿真以及对编译好的内容进行下载到开发板上观察效果。

在整个过程中,编译使用VHDL代码编写的逻辑器件的代码时,需要将该文件设置为工程的顶层文件,否则后面的仿真出错。在由逻辑器件组装好电路图时,需要将组装好的电路图文件设为顶层文件再编译,否则后面出错。在下载到开发板之前,需要锁定引脚,之后还需要编译一次,否则会出错。这些都是在开发设计过程中总结出的经验。

在设计过程中加深了对可编程逻辑器件的使用的理解,克服了一些困难,学到了一些以前没有学到的知识。

1

数字电路综合设计实验报告文档 

数字电路综合设计architecturecounterofcounterissignals1:std_logic_vector(2downto0);beginprocess(clk)isbeginif(clk='1'andclk'e
推荐度:
点击下载文档文档为doc格式
7zppv292q52wkqq4mj6h371qz5d0jm00km3
领取福利

微信扫码领取福利

微信扫码分享