好文档 - 专业文书写作范文服务资料分享网站

06—07学年《数字逻辑与数字系统》期末考试试题(A)标准答案

天下 分享 时间: 加入收藏 我要投稿 点赞

北京邮电大学2006——2007学年第一学期

《数字逻辑与数字系统》期末考试试题(A)标准答案

一、 选择题(每小题1分,共10分)

1.A 2.B 3.C 4.D 5.A 6.B 7.C 8.D 9.A 10.B

二、填空题(每小题2分,共20分) 1. 余3码

2. 数据输入D、地址控制输入A1、A0 3. 0、1

4. 输入、原来 5. 多对一、一对多 6. 同一个、状态 7. 米里型

8. D触发器、JK触发器 9.5

10. 多路选择器型(MUX)、定序型 三、简答题(各5分,共10分)

1. (5分)ispLSI1032中通用逻辑块GLB的五种组态模式是标准组态,高速直通组

态,异或逻辑组态,单乘积项组态,多模式组态。其中单乘积项组态最快,多模式和异或逻辑组态最慢。 2.(5分)小型控制器的组成框图。

1

控制信号

T2 …… 控制信号译码电路 …… T1 清零 若干触发器 …… 激励方程逻辑电路 反馈输入信号 …… T1

T T2

四、时序电路分析题(10分) 1、(2分)右图从左到右为A0 A1 A2 A3……A15

2、(4分)F?A0A1A2A3A4A5A6A7?A8A9A10A11A12A13A14A15

F?A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15

3、(4分)当变量A0 A1 A2 A3……A15全位0时,输出F=1,由打入信号打入标志触发器保存。F=1标志着三态门输出信号为全0。这是判别总线上代码全为0的电路。

五、组合电路设计(10分)

1、真值表(2分) Ai Bi Ci-1 Si 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

Ci 0 0 0 1 0 1 1 1 2

表达式:2分

Si?Ai?Bi?CiCi?AiBi?AiCi?1?BiCi?1?AiBi?(Ai?Bi)Ci?12、画图(3分): C2 C1 S32 S2 S1 …… C31 B32A 32

C0 B1 A1 C1 B2 A2

3、(3分)32位加法器最长时间为:最低位异或门+31级进位+最高位异或门: t=40ns+(20+20)ns×31+40ns=1320ns

六、时序电路分析(12分)

1、写出状态方程 (3分)

n?1nn Q0?D0?Q1Q2n?1Q1?D1?Q0n

n?1nQ2?D2?Q1

2、 出状态转移表(3分)

3

Q2n 0 0 0 1 1 1 0 1 Q1n 0 0 1 1 1 0 1 0 Q0n 0 1 1 1 0 0 0 1 Q2n+1 Q1n+1 Q0n+1 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 1 0 1 0 1 1 0 6 5 6 3 2 6 7 3. 状态转移图(3分)

1 6

4

4、此电路是五进制计数器,可自启动(3分)

6

七、硬件描述语言设计(14分)

MODULE counter

TITLE '3-bit Gray code counter';

(3分) Clock, pin;

X pin;

Q2,Q1,Q0 node istype 'reg';

QSTATE=[Q3,Q2,Q0]; A=[0,0,0]; A=[0,0,1]; A=[0,1,1]; A=[0,1,0]; (3分) A=[1,1,0]; A=[1,1,1]; A=[1,0,1]; A=[1,0,0]; EQUATIONS

(2分) QSTATE.CLK=Clock;

4

State_diagram QSTATE

State A;

CASE X==1:B; X==0:H; END CASE

State B;

CASE X==1:C; (6分) X==0:A; END CASE ……

State H;

CASE X==1:A; X==0:G; END CASE END

State_diagram QSTATE

State A: if X==1 then B else H;

State B: if X==1 then C else A; State C: if X==1 then D else B; 方案2

State D: if X==1 then E else C; State E: if X==1 then F else D; State F: if X==1 then G else E; State G: if X==1 then H else F; State H: if X==1 then A else G; END

八、小型控制器设计(14分) 1、ASM流程图(3分)

2、 状态转移真值表(3分)

PS NS QnQn1 0 Qn+1n+11 Q0 a 0 0 b 0 1 b 0 1 c 1 1 c 1 1 d 1 0 d 1 0 c 1 1

5

a (00) LDA b (01) LDB c (11) LDA d (10) ADD,LDB 3、写出激励方程和控制信号表达式(2分+2分)

nD1?Q1?Q0n

nn D0?Q0?Q1nLDA?(Q1nQ0n?Q1Q0n)T2

nLDB?(Q1nQ0n?Q1Q0n)T2

nADD?Q1Q0n

4、设计定序型控制器电路。(4分)

Q1 D1 Q12 Q0 Q1

Q1 D0 Q0 Q0 LDA LDB ADD T2 Q1 Q0 Q0 Q1 Q0 T1(cp)

Q1 Q0 Q1 Q0 Q1 Q0 6

06—07学年《数字逻辑与数字系统》期末考试试题(A)标准答案

北京邮电大学2006——2007学年第一学期《数字逻辑与数字系统》期末考试试题(A)标准答案一、选择题(每小题1分,共10分)1.A2.B3.C4.D5.A6.B7.C8.D9.A10.B二、填空题(每小题2分,共20分)1.余3码2.数据输入D、地址控制输入A1、A0
推荐度:
点击下载文档文档为doc格式
6lubh5c2zi3xy6q955p40ne2d1fp330145k
领取福利

微信扫码领取福利

微信扫码分享