好文档 - 专业文书写作范文服务资料分享网站

基于FPGA的电子密码锁设计

天下 分享 时间: 加入收藏 我要投稿 点赞

图五、密码输入错误仿真波形

如图,密码设置仍然为1001,但输入一个错误的密码1000,当密码确认按下时,系统发出错误警报,蜂鸣器Speaker发出响声,报警灯led_f闪烁。

图六、出入超时仿真波形

如图,密码设置不变,依旧是1001,但是密码输入按键enter_c按下,输入密码后不按下确认键,五秒过后,系统判断密码输入超时,此时输出超时警报,蜂鸣器报警,led_g点亮,led_f闪烁。

五、 心得体会

这次的课程设计安排在了假期以后,上学期的VHDL语言已经有些生疏了,这给编程带来了一些难度,但是经过复习还是重新熟悉了编程的方法和技巧。

在写程序的时候有时候不注意仿真,犯了想当然的毛病,认为程序会实现想象中的逻辑功能,但实际不然,所以在编程过程中,不能单凭想象来做,必须写完一部分后就进行一次仿真,及时发现问题,及时改正,避免可在写完程序之后才发现错误,这时再修改就会很麻烦了。

这次的课程设计标题是密码锁设计,通过在查阅资料的过程中了解了电子密码锁的发展前景和FPGA的广泛应用,在日常生活中会有许多的电子设备都与FPGA有关,因此学好EDA技术对自己的以后的发展会有很大的帮助。

基于FPGA的电子密码锁设计

图五、密码输入错误仿真波形如图,密码设置仍然为1001,但输入一个错误的密码1000,当密码确认按下时,系统发出错误警报,蜂鸣器Speaker发出响声,报警灯led_f闪烁。图六、出入超时仿真波形如图,密码设置不变,依旧是1001,但是密码输入按键enter_c按
推荐度:
点击下载文档文档为doc格式
6er5t4ifpz58u602wq5x
领取福利

微信扫码领取福利

微信扫码分享