好文档 - 专业文书写作范文服务资料分享网站

第5章-Verilog HDL语法规范(第6讲)-5.6 - 图文

天下 分享 时间: 加入收藏 我要投稿 点赞

Verilog HDL表达式--操作符表达式中使用整数

?在表达式中,可以使用整数作为操作数,一个整数可以表示为:

?没有宽度,没有基数的整数,比如:12。?没有宽度,有基数的整数,比如:'d12,'sd12。?有宽度,有基数的整数,比如:16'd12,16'sd12。

Verilog HDL表达式--操作符?对于一个没有基数标识的整数的负数值的理解不同于一个带有基数标识的整数的负数值。

?对于没有基数表示的整数,将其理解为以二进制补码存在的负数。?带有无符号基数表示的一个整数,将其理解为一个无符号数。

Verilog HDL表达式--操作符整数表达式中使用整数的Verilog?HDL描述例子

integer IntA;IntA= -12 / 3; IntA= -‘d 12 / 3; IntA= -'sd12 / 3; IntA= -4‘sd 12 / 3;

// 结果是-4

// 结果是1431655761(12取反)/3(32位)// 结果是-4

// -4’sd12 是四位的负数1100,等效于-4。-(-4) = 4。4/3=1

Verilog HDL表达式--操作符算术操作符下表给出了二元操作符的定义列表a+ba-ba*ba/bao**ba加ba减ba乘ba除ba模ba的b次幂乘Verilog HDL表达式--操作符?整数除法截断任何小数部分。例如:7/4结果为1。

?对于除法和取模运算,如果第二个操作数为0,则整个结果的值为x。

?对于取模操作,取第一个操作数的符号。

?取模操作符求出与第一个操作符符号相同的余数。7%4结果为3,而:-7%4?结果为-3。

第5章-Verilog HDL语法规范(第6讲)-5.6 - 图文

VerilogHDL表达式--操作符表达式中使用整数?在表达式中,可以使用整数作为操作数,一个整数可以表示为:?没有宽度,没有基数的整数,比如:12。?没有宽度,有基数的整数,比如:'d12,'sd12。?有宽度,有基数的整数,比如:16'd12,16'sd12。VerilogHDL表达式--操作符?对于一个没有基数标识的整数的负数值的理解不同于
推荐度:
点击下载文档文档为doc格式
3vjsg894g22i4cx3q5al1oirv327wf00plj
领取福利

微信扫码领取福利

微信扫码分享