好文档 - 专业文书写作范文服务资料分享网站

FPGA用状态机实现序列检测器的设计报告

天下 分享 时间: 加入收藏 我要投稿 点赞

schk u2 (din,clk,reset,AB); decl7s u3 (AB,LED7S); endmodule

其功能仿真波形和时序仿真波形分别如下所示:

6 锁定引脚。

(1)根据DE2_pin_assignments文件内容、格式制作本设计引脚对应文件的引脚锁定文件:XUELIEQI.csv,其中用KEY1(PIN23)控制复位信号RESET;KEY0(PING26)控制状态机工作时钟CLK;指示输出AB接数码管HEX0(PIN_AF10, PIN_AB12, PIN_AC12, PIN_AD11, PIN_AE11, PIN_V14, PIN_V13)。

(2) 由Assignments->Import Assignment,打开对话框,调入引脚对应文件XUELIEQI.csv即可。锁好引脚,进行全编译(compile),重新布局布线,时序仿真。 (3) 引脚锁定,仿真结果核对无误后,准备下载

7 下载后,按以下提示进行操作: 1)、按实验板“系统复位”键;

2)、用SW0-SW7(PIN_N25, PIN_N26,PIN_P25,PIN_AE14,PIN_AF14,PIN_AD13, PIN_AC13,PIN_C13)键输入待测序列数\; 3)、按下RESET键; 4)、按KEY0键(时钟clk输入) 8次,这时若串行输入的8位二进制序列码与预 置码\相同,则数码管HEX0应从原来的B变成A ,表示序列检测正确,否则仍为B。 8 硬件操作结果:将sw7至sw0依次置位为11100101,按下KEY0键复位,再按KEY1键8次,数码管由b转换为A,得知结果正确。 五 思考题.

说明代码表达的是什么类型的状态机,它的优点是什么?详述其功能和对序列数检测的逻辑过程;

答:本实验代码表达的是Mealy型状态机,Mealy状态机与Moore有限状

态机不同,Mealy有限状态机的输出不单与当前状态有关,而且与输入信号的当前值有关,Mealy有限状态机的输出直接受输入信号的当前值影响,而输入信号可能在一个时钟周期内任意时刻变化,这使得Mealy有限状态机对输入的响应发生在当前时钟周期,比Moore有限状态机对输入信号的响应要早一个周期。 功能和对序列检测的逻辑过程:

并转串状态转换图。

FPGA用状态机实现序列检测器的设计报告

schku2(din,clk,reset,AB);decl7su3(AB,LED7S);endmodule其功能仿真波形和时序仿真波形分别如下所示:6锁定引脚。(1)根据DE2_pin_assignments文件内容、格式制作本设计引脚对应文件的引脚锁定文件:XUELIEQI.csv,其中用KEY1(PIN
推荐度:
点击下载文档文档为doc格式
3p2af8e7sv9mzf00wd5n
领取福利

微信扫码领取福利

微信扫码分享