好文档 - 专业文书写作范文服务资料分享网站

FPGA aurora_8b10b光通信

天下 分享 时间: 加入收藏 我要投稿 点赞

常州 / Kintex-7修炼秘籍2018版GTX通信篇

目录

XILINX FPGA-GTX通信篇 .................................................................................................................................................. 1 CH02 aurora_8b10b光通信 ..................................................................................................................................................... 5

1.1概述 ............................................................................................................................................................................. 5 1.2 Aurora 8B/10B IPCORE 描述 ................................................................................................................................... 6

1.2.1性能表现(Performance) ................................................................................................................................... 6 1.2.2 端口说明 (Port Descriptions) ................................................................................................................... 7 1.2.3 Aurora 8B/10B 帧(Frames) ...................................................................................................................... 11 1.2.4数据流接口(Streaming Interface) ............................................................................................................. 16 1.2.5流量控制(Flow Control) ................................................................................................................................ 17 1.2.6本地流量控制(Native Flow Control) ............................................................................................................. 22 1.2.7状态,控制和收发器接口 ............................................................................................................................ 24 1.2.8 CRC ................................................................................................................................................................ 39 1.3基于Aurora 8B/10B IPCORE的设计 ..................................................................................................................... 39

1.3.1 Aurora 8B/10B内核的时钟接口端口 ........................................................................................................... 40 1.3.2复位和掉电 .................................................................................................................................................... 40 1.3.3共享逻辑(Share Logic) .................................................................................................................................. 43 1.4 Setp By Step搭建FPGA工程 .............................................................................................................................. 46 1.5 代码分析 .................................................................................................................................................................. 49

1.5.1 代码功能概述 ............................................................................................................................................... 49 1.5.2 Aurora_8b10b_frame_gen.v ........................................................................................................................... 50 1.5.3 Aurora_8b10b_freme_check.v........................................................................................................................ 55 1.5.4 Aurora_8b10b_ll_to_axi_exdes.v ................................................................................................................ 60 1.5.5 Aurora_8b10b_axi_to_ll_exdes.v ................................................................................................................ 61 1.6 代码软件仿真 .......................................................................................................................................................... 64

1.6.1仿真部分程序构架 ........................................................................................................................................ 64 1.6.2仿真文件顶层代码 ........................................................................................................................................ 64 1.6.3 仿真波形图 ................................................................................................................................................... 69 1.7编译下载测试 ........................................................................................................................................................... 71

1.7.1顶层文件修改 ................................................................................................................................................ 71 1.7.2添加PIN脚约束 ............................................................................................................................................ 72 1.7.3编译并且在线仿真 ........................................................................................................................................ 73

第 4 页 共 75 页

常州 / Kintex-7修炼秘籍2018版GTX通信篇

CH02 aurora_8b10b光通信

软件版本:VIVADO2017.4 操作系统:WIN10 硬件平台:MK7325FA

1.1概述

本课内容讲解XILINX 官方的Aurora 8B/10B IP core的使用。以下内容大部分是基于官方datasheet的翻译。有不少不完善之处,建议读者和英文datsheet一起对比阅读。

这个IP支持Kintex?-7, Virtex?-7 FPGA GTX 和GTH 收发器,Artix?-7 FPGA GTP 收发器, Zynq?-7000 GTX and GTP收发器。Aurora 8B/10B IP core可以工作于单工或者全双工模式。IP CODE 的使用也非常简单,支持AMBA?总线的AXI4-Stream协议。本课是用过外部的光纤线链接的,实际使用中也可以直接用在开发板之间链接,实现板子到板子的通信。本课程内容可以参考XILINX 官方文档PG046。

图2-1-1是Aurora 8B/10B IP core简单的一个应用方式。对于用户来说,从应用角度,我们主要掌握IP CORE的使用以及正确编写用户逻辑代码。

图2-1-1 Aurora 8B/10B Channel Overview

Aurora 8B/10B IP core具备很多优点,当一条通道联通的时候,它会自动初始化这条通路,并且以帧或者数据流的方式,发送一些测试数据。而且在正常通信的过程中,可以发送任意大小的帧,以及数据可以再任何时候中断。传输过程中有效数据字节之间的间隙会自动填充空闲,以保持锁定并防止过多的电磁干扰。流量控制可用于降低传入数据的速率或通过通道发送简短的高优先级消。Stream流传输是单一的,无限的帧。 在没有数据的情下,传送空闲以保持链接活着。 Aurora 8B/10B内核使用8B/10B编码规则检测单位和大多数多位错误。 过多的位错误,断开连接或设备故障导致内核复位并尝试重新初始化新通道。 Aurora 8B/10B IP的用途: 1)、芯片到芯片的链路:

替换高速串行连接的芯片之间的并联连接可以显着减少PCB上所需的迹线和层数。 核心提供

了使用GTP,GTX和GTH收发器所需的逻辑,FPGA资源成本最低。 2)、板对板和背板连接:

IP CORE使用标准的8B / 10B编码,使其与现有的电缆和背板硬件标准兼容。 Aurora 8B / 10B

第 5 页 共 75 页

常州 / Kintex-7修炼秘籍2018版GTX通信篇

内核可以在线速率和通道宽度上进行缩放,以便在新的高性能系统中使用便宜的传统硬件。 3)、单向连接(单向):

Aurora协议提供了替代方法执行单向通道初始化,使GTP,GTX和GTH收发器在没有反向通道

的情况下使用,并降低由于未使用的全双工资源而造成的成本。

1.2 Aurora 8B/10B IPCORE 描述

图2-1-2展示了Aurora 8B/10B内核的实现方框图

图2-2-1 Aurora 8B/10B Core Block Diagram

Lane Logic(通道逻辑):

每个GTP,GTX或GTH收发器(以下称为收发器)由通道逻辑模块的实例驱动,其初始化每个

单独的收发器并处理控制字符的编码和解码以及错误检测。 Global Logic(全局逻辑):

全局逻辑模块执行通道初始化的绑定和验证阶段。 在运行期间,模块会生成Aurora协议所需

的随机空闲字符,并监视所有通道逻辑模块的错误。 RX User Interface(RX接收端口):

AXI4-Stream RX接收端口将数据从通道移动到应用程序,并执行流量控制功能。

TX User Interface(TX发送端口):AXI4-Stream TX发送端口将数据从应用程序移动到通道,并执行流量控制TX功能。 标准时钟补偿模块嵌入在内核中。 该模块控制时钟补偿(CC)字符的周期性传输。

1.2.1性能表现(Performance)

最高频率: 参见XILINX Performance and Resource Utilization web

Latency(延迟):

通过Aurora 8B/10B内核的延迟是由通过协议引擎(PE)和收发器的流水线延迟引起的。 随

着AXI4-Stream接口宽度的增加,PE流水线延迟增加。 收发器延迟取决于所选收发器的特性和属性。

本节概述了Aurora 8B/10B核心AXI4-Stream用户端口对于2-byte-per-lane和

4-byte-per-lane设计的user_clk周期的预期延迟。 为了说明延迟,Aurora 8B/10B模块被分为收发器逻辑和协议引擎(PE)逻辑,其在FPGA可编程逻辑中实现。

注意:这些数字不包括由于Aurora 8B / 10B通道的每一侧之间的串行连接长度而引起的延迟。 下图说明了默认配置的数据路径的延迟。 延迟可以根据设计中使用的收发器和IP配置而有所

不同。

第 6 页 共 75 页

FPGA aurora_8b10b光通信

常州/Kintex-7修炼秘籍2018版GTX通信篇目录XILINXFPGA-GTX通信篇.................................................................................................
推荐度:
点击下载文档文档为doc格式
1lzf89yucy1wxgu8k8be9y6ym8c7hv00mvl
领取福利

微信扫码领取福利

微信扫码分享