好文档 - 专业文书写作范文服务资料分享网站

基于QUARTUS的EDA课程设计数字频率计的仿真设计

天下 分享 时间: 加入收藏 我要投稿 点赞

成 绩 评 定 表

学生 专 业 评 语 组长签字: 班级学号 课程设计题目 成绩 日期

201年月日

课程设计任务书

学 院 学生 课程设计题目 实践教学要求与任务: 专 业 班级学号 一、容及要求: 利用所学的EDA设计方法设计数字频率计,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。 1调试底层模块,并时序仿真。 2.设计顶层模块,并时序仿真。 3.撰写课程设计报告,设计报告要求及格式见附件。 二、功能要求: 设计一个思维十进制的数字频率计。要求具有以下功能; (1) 测量围:1HZ~10HZ。 (2) 测量误差≤1/ (3) 响应时间≤15s。 (4) 显示时间不小于1s。 (5) 具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。 包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电路。 工作计划与进度安排: 课程设计时间为10天(2周) 1、调研、查资料1天。 2、总体方案设计2天。 3、代码设计与调试5天。 4、撰写报告1天。 5、验收1天。 指导教师: 201年月日

专业负责人: 201年月日 学院教学副院长: 201年月日

目录

1.设计要求 ............................................................................................................................ 2 2、设计目的 ......................................................................................................................... 2 3.总体设计思路及解决方案 .................................................................................. 2

3.1相关知识 .................................................................................................................... 2 3.3、设计思路及解决方案 ..................................................................................... 4

4.分层次方案设计及代码描述 ............................................................................ 5

4.1.底层程序源码 ..................................................................................................... 5 4.2顶层程序源码 ....................................................................................................... 10

5.各模块的时序仿真结果 ...................................................................................... 12 6.设计心得 .......................................................................................................................... 15

数字频率计课程设计

1.设计要求

设计一个四位十进制的数字频率计。要求具有以下功能: (1)测量围:1HZ~10HZ。 (2) 测量误差≤1/ (3)响应时间≤15s。 (4)显示时间不小于1s。

(5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过 程结束后才显示测量结果。给出待测信号的频率值。并保存到 下一次测量结束。

(6)包括时基产生与测评时序控制电路模块。以及待测信号脉冲计

数电路模块和锁存与译码显示控制电路。

2、设计目的

通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。

本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。

3.总体设计思路及解决方案

3.1相关知识

Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

3.2 设计思路及原理图

数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器.它的基本功能是测量方波信号及其他各种单位时间变化的物理量。本数字频率计采用自顶向下的设计思想,通过闸门提供的1s闸门时间对被测信号进行计数及测出的被测信号的频率,测出的频率再通过译码器译码后输出给显示器显示。根据系统设计的要求,数字频率计的电路原理框图如下:

基于QUARTUS的EDA课程设计数字频率计的仿真设计

成绩评定表学生专业评语组长签字:班级学号课程设计题目成绩日期201年月日课程设计任务书学院学生课程设计题目实践教学要求与任务:专业班级学号一、容及要求:利用所学的EDA设计方法设计数字频率计,熟
推荐度:
点击下载文档文档为doc格式
06mv27r7bu35m4y31ezc5v45r56fh100906
领取福利

微信扫码领取福利

微信扫码分享